About 1,590,000 results
Open links in new tab
  1. VHDL Variable Vs. Signal - Stack Overflow

    Mar 19, 2013 · With the VHDL-2000/2002 update, shared variables are not permitted to be used with regular types. Instead they may only be used with protected types. Protected types do not …

  2. what exactly is a variable in VHDL? - Stack Overflow

    Dec 5, 2014 · I know how to use variables in VHDL and what I can do with that, but I don't know exactly what it is in hardware ? What is the difference between signals and variables in …

  3. VHDL: variable and process - Stack Overflow

    Jan 29, 2013 · Process(clk) Variable Q : std_logic_vector(7 downto 0); begin if rising_edge(clk) then if En = '0' then Q := D; end if; end if; Output <= Q; end process; In every clock cycle, if the …

  4. vhdl - Assigning a signal to variable and a variable to a signal ...

    May 20, 2016 · 5 I am new to VHDL and after I read through a lot of tutorials, I am now getting my feet wet. Here is a code example which troubles me. The trade_cell entity gets in a signed …

  5. Assigning initial value to VHDL vector - Stack Overflow

    Feb 21, 2020 · As user1155120 says, in VHDL the width of the right hand side has to match the width of the left hand side of an assignment operator (<= or :=). So, you could use the literal …

  6. padding out std_logic_vector with leading zeros - Stack Overflow

    Mar 18, 2015 · ok, what I would like to do is assign a smaller std_vector to a large one, padding out the upper bits with zeros. But, I want something generic and simple that doesn't involve …

  7. What' s the difference between <= and := in VHDL

    Aug 13, 2012 · Currently, I am learning some FPGA design techniques using VHDL, my problem is whether we can use := and &lt;= interchangeably in VHDL or not, though I've seen the use …

  8. VHDL- use of variables - Stack Overflow

    Jun 18, 2014 · Use only IEEE.numeric_std and not ieee.std_logic_unsigned, since ieee.std_logic_unsigned is not a VHDL IEEE standard package. The variable n_times should …

  9. VHDL and using the 'report' Statement - Stack Overflow

    Sep 28, 2012 · VHDL is also used as a meta-language for describing a hardware architecture, known as RTL. This description is translated into a list of primitives supported by your …

  10. vhdl - shift a std_logic_vector of n bit to right or left - Stack Overflow

    Jan 26, 2012 · Use the ieee.numeric_std library, and the appropriate vector type for the numbers you are working on (unsigned or signed). Then the operators are `sla`/`sra` for arithmetic shifts …